Difference between revisions of "Simulation/Virtuoso"

From OptoelectronicsWiki
Jump to: navigation, search
(Created page with "== Introduction ==")
 
Line 1: Line 1:
 
== Introduction ==
 
== Introduction ==
 +
Cadence Virtuoso is a widely used custom electronic circuit design environment. It's also becoming popular for developing photonic PDK models and libraries for electronic-optic co-simulation.
 +
 +
== Configuration ==
 +
Virtuoso can be run on ECI server, or the binary file can be mounted on your own server.
 +
 +
ECI server configuration instructions:
 +
 +
Login to ECI server: ssh -X to username@linux10.engr.ucsb.edu (The node#10 is a 64bit machine. Do not use the default node which is a 32 bit machine).
 +
 +
Configure the .bashrc file as the instruction in https://www.ece.ucsb.edu/its/cadence/download/README, or as follows:
 +
 +
#Set license environment:
 +
export LM_LICENSE_FILE=5280@license.ece.ucsb.edu
 +
 +
#Set path for Cadence IC615:
 +
export PATH=$PATH:/ece/cadence/IC615/tools/dfII/bin
 +
export PATH=/ece/cadence/MMSIM131/tools/spectre/bin:$PATH
 +
export CDS_AUTO_64BIT=spectre
 +
 +
Please use “which spectre” to check if your spectre points to the newer version, instead of the old version in IC5141
 +
 +
== Run Virtuoso ==
 +
Make a work folder, go into the work folder, type "virtuoso &".

Revision as of 10:37, 15 March 2016

Introduction

Cadence Virtuoso is a widely used custom electronic circuit design environment. It's also becoming popular for developing photonic PDK models and libraries for electronic-optic co-simulation.

Configuration

Virtuoso can be run on ECI server, or the binary file can be mounted on your own server.

ECI server configuration instructions:

Login to ECI server: ssh -X to username@linux10.engr.ucsb.edu (The node#10 is a 64bit machine. Do not use the default node which is a 32 bit machine).

Configure the .bashrc file as the instruction in https://www.ece.ucsb.edu/its/cadence/download/README, or as follows:

  1. Set license environment:

export LM_LICENSE_FILE=5280@license.ece.ucsb.edu

  1. Set path for Cadence IC615:

export PATH=$PATH:/ece/cadence/IC615/tools/dfII/bin export PATH=/ece/cadence/MMSIM131/tools/spectre/bin:$PATH export CDS_AUTO_64BIT=spectre

Please use “which spectre” to check if your spectre points to the newer version, instead of the old version in IC5141

Run Virtuoso

Make a work folder, go into the work folder, type "virtuoso &".